CoreI2C的原理及采用FPGA技术实现I2CIP核的设计

    I2C   (In     te   r Integrated Circuit)双向二线制串行总线,是由飞利浦公司制定的。I2C总线是一个多主机的总线,使用串行数据线(SDA)和串行     时钟   线(SCL)在总线上传递信息。每个器件都有一个唯一的识别地址,而且都可以作为一个发送器或接收器。当连接在I2C总线上的多个主机器件同时传输数据时,通过仲裁来避免冲突。SDA和SCL都是双向线路,通过一个     电流   源或上拉     电阻   连接到电源。器件输出级必须是漏极     开路   或集电极开路,当总线空闲时,两条线路处于高电平,执行线与的功能。

    Actel   公司免费提供I2C IP核——Core I2C。Core I2C是基于APB总线形式的,它的优点是可以连接到Core 8051或者是Cortex M1处理器上,方便用户进行SoC设计,本文将主要介绍Core I2C的原理与应用。

1. Core I2C介绍

(1)I2C协议简介

I2C总线协议定义如下:只有在总线处于“非忙”状态时,才能开始数据传输。在数据传输期间,只要时钟线为高电平,数据线都必须保持稳定,否则数据线上的任何变化都被当作“启动”或“停止”信号。图1所示为总线状态的定义。

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

I2C总线的工作方式为:当SCL为高电平时,如果检测到SDA的下降沿,则启动数据的传输;在数据传输时,只要SCL为高电平时,SDA数据必须保持稳定,SDA数据可以在SCL的低电平时发生变化;当SCL为高电平时,如果检测到SDA的上升沿,则停止数据的传输。

(2)Core I2C内部结构

Core I2C的内部结构框图如图2所示。它主要由串行     时钟发生器   、地址     寄存器   、控制寄存器、状态寄存器和APB总线     接口   等组成的。

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

● 串行时钟发生器模块:提供SCL时钟脉冲。

● 地址寄存器模块:包含了内核编程的地址信息。

● 控制寄存器模块:控制串行传输的启动、重启、终止、位速率、地址识别和应答等功能。

● 状态寄存器模块:包含了Core I2C内部寄存器工作的状态信息。

● APB总线接口:提供了I2C和APB总线通信的接口。

(3)Core I2C内部寄存器

Core I2C的寄存器的地址映射如表 1所示。

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

● 控制寄存器——I2C_CTRL

Bit7:串行时钟位2,和第0位、第1位一起决定分频系数。

Bit6:I2C使能位,1表示I2C被使能,否则被禁止。

Bit5:起始标志位,1表示起始标志位有效,总线在空闲时将会产生一个起始状态位。

Bit4:停止标志位,1表示停止标志位有效,总线将会产生一个停止状态位。

Bit3:串行中断标志位,在状态寄存器的值被更新以后,这位必须被清零。

Bit2:主/从机设置位,1表示工作在从机模式,0表示工作在主机模式。

Bit1:串行时钟位1,和第7位、第0位一起决定分频系数。

Bit0:串行时钟位0,和第7位、第一位一起决定分频系数。

Core I2C时钟频率的定义如表 2所示。

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

● 状态寄存器——I2C_STA

状态寄存器取出所有内部状态位并将它们压缩成一个5位的代码,该代码与每个I2C总线状态位一一对应,5位代码可用于产生向量地址,以便快速处理不同的服务程序,每个服务程序处理一个特定的总线状态。如果I2C模块的所有模式都被使用,则有26种可能的总线状态。

● 数据寄存器——I2C_DAT

数据寄存器包含要发送或刚接收的数据,当它没有处理字节的移位时,APB控制器可以对其进行读写。

● 地址寄存器——I2C_ADDR

Bit7~Bit1:自身从机地址。

Bit0:通用调用位,该位设置为1,通用调用地址有效,否则无效。

(4)Core I2C的基本操作

Core I2C可以配置成主机或从机,总线时钟速率可调整,最高可支持400kb/s的总线传输速率。使用I2C总线时,在总线上要连接两个上拉电阻,阻值为1~10k欧姆。

● 主机发送模式

主机发送模式的数据发送格式如图 3所示。先发送起始条件,然后发送从机地址和写方向位,这时从机会产生一个应答,主机在接收到应答位后,依次发送n个数据,每个数据发送后,从机都会产生一个应答位,发送完n个数据后,主机发送停止位。

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

● 主机接收模式

主机接收模式的数据接收格式如图4所示。主机先发送起始条件,然后发送从机地址和读方向位,这时从机会产生一个应答,主机在接收到应答位后,依次读出n个数据,主机在接收到每个数据后,都会产生一个应答位,接收完数据后,主机发送停止位。

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

(5)应用场合

I2C协议主要应用于对串行设备的控制,如各种串行的     存储器   等。图5所示为使用I2C接口控制EEP     ROM  

 CoreI2C的原理及采用FPGA技术实现I2CIP核的设计_设计制作_嵌入式技术

2.小结

本文主要介绍了Actel     FPGA   的Core I2C IP核,除了Core I2C,Actel公司还提供了     UART   、S     PI   、PWM、     Ti   mer等IP核,请关注周立功公司的网站获得更多的信息。我们有着一个接近30人的FPGA团队提供强有力的售后服务,解决用户在产品使用和研发过程中遇到的困难。若有更多的需求可以与我们联系,我们将会竭诚为您服务,并能关注下期的FPGA专题技术讲座。

69
194
0
67

相关资讯

  1. 1、Win10如何关闭21H1锁屏广告?win10屏保有广告的处理方法2096
  2. 2、拼多多如何查看我的评价?拼多多查看自己的评价的方法4546
  3. 3、Win10怎么使用命令卸载IE浏览器?Win10系统卸载IE浏览器的方法1933
  4. 4、FaceDance怎么选歌?BGM由你定2246
  5. 5、怎么备份还原Win10驱动?Windows如何备份驱动程序?4953
  6. 6、Win10设备管理器出现F5521gw未知设备怎么解决?4689
  7. 7、鬼谷八荒流水剑怎么玩?鬼谷八荒流水剑先天气运怎么选?4699
  8. 8、如何在搜狗浏览器中添加扩展工具在搜狗浏览器中添加扩展工具的方法2622
  9. 9、U盘一插入电脑就提示需要格式化怎么办?2444
  10. 10、两台电脑怎么共享打印机?两台电脑怎么共享打印机网络设置?2134
全部评论(0)
我也有话说
0
收藏
点赞
顶部