今天解决了一个很基础的问题

今天解决了一个很基础的问题
在论坛里看到有人提问如下代码报错:
define plus 3'd0
`define minus 3'd1
`define band 3'd2
`define bor 3'd3
`define unegate 3'd4
module alu(opcode,out,a,b);
input[2:0]opcode;
input [7:0]a,b;
output[7:0]out;
reg[7:0]out;
always@(opcode or a or b)
begin
case(opcode)
plus:out=a+b;
minus:out=a-b;
band:out=a&b;
bor:out=a|b;
unegate:out=~a;
default:out=8'hx;
endcase
end
endmodule
显示错误 :Error (10161): Verilog HDL error at alu.v(14): object "plus" is not declared

首先plus 3'd0这样的应该为3'b0,否则和后面的t[2:0]opcode;位数无法对应
语法没有什么问题,但是编译确实提示错误
把`define 改成reg或者 parameter肯定没问题
最后我明白了,`define unegate 4定义的变量,在引用时也要`plus这样才行哈哈,原来竟是一个小小的`符号惹的祸。

今天解决了一个很基础的问题_设计制作_可编程逻辑
7
104
0
84

相关资讯

  1. 1、如何转换酷狗音乐格式?转换酷狗音乐格式的方法190
  2. 2、qq青少年模式怎么设置?一个方法教你轻松开启qq青少年模式!2965
  3. 3、Win7旗舰版64位系统怎么加入局域网?3606
  4. 4、电脑输入法怎么设置手写输入?2800
  5. 5、如何使用和自定义Windows11快速设置菜单?4019
  6. 6、Excel中用if函数计算阶梯式工资提成操作详解683
  7. 7、Win10系统坏了开不了机怎么解决?2567
  8. 8、Win10鼠标卡顿问题如何彻底解决?285
  9. 9、使用CAXA2020怎么把背景设置成白色?251
  10. 10、Win7系统蓝屏安全模式进不去怎么办3045
全部评论(0)
我也有话说
0
收藏
点赞
顶部