fpga按键控制数码管显示

 按键与数码管显示

LED数码管(LEDSegmentDisplays)是由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。LED数码管常用段数一般为7段有的另加一个小数点,还有一种是类似于3位“+1”型。位数有半位,1,2,3,4,5,6,8,10位等等。LED数码管根据LED的接法不同分为共阴和共阳两类,了解LED的这些特性,对编程是很重要的,因为不同类型的数码管,除了它们的硬件电路有差异外,编程方法也是不同的。图2是共阴和共阳极数码管的内部电路,它们的发光原理是一样的,只是它们的电源极性不同而已。颜色有红,绿,蓝,黄等几种。

 fpga按键控制数码管显示_设计制作_测量仪表

图1这是一个7段两位带小数点10引脚的LED数码管

 fpga按键控制数码管显示_设计制作_测量仪表

图2引脚定义

下面来介绍fpga按键控制数码管显示程序实现:

modulekey_led(clk_50M,key,duan_ma,wei_ma);

inputclk_50M;

input[3:0]key;//key为输入的键码的值

output[3:0]wei_ma;

output[7:0]duan_ma;

wire[3:0]key;

reg[7:0]duan_ma;

reg[3:0]wei_ma;

reg[3:0]key_temp;//设置了一个寄存器

always@(posedgeclk_50M)

begin

key_temp《=key;//把键码的值赋给寄存器

case(key_temp)

4‘b0111:duan_ma《=8’b1100_0000;//段码,按键后,数码管显示0

4‘b1011:duan_ma《=8’b1001_0000;//段码,数码管显示9

4‘b1101:duan_ma《=8’b1000_0010;//段码,数码管显示6

4‘b1110:duan_ma《=8’b1011_0000;//段码,数码管显示3

endcase

end

always@(posedgeclk_50M)

begin

case(key_temp)

4‘b0111:wei_ma《=4’b0111;//位选信号

4‘b1011:wei_ma《=4’b1011;

4‘b1101:wei_ma《=4’b1101;

4‘b1110:wei_ma《=4’b1110;

endcase

end

endmodule

数码管静态显示0-7

moduleled_0_7(clk,rst,dataout,en);

inputclk,rst;

output[7:0]dataout;//数码管的段码输出

output[7:0]en;//数码管的位选使能输出

reg[7:0]dataout;//各段数据输出

reg[7:0]en;

reg[15:0]cnt_scan;//扫描频率计数器

reg[4:0]dataout_buf;

always@(posedgeclkornegedgerst)

begin

if(!rst)begin

cnt_scan《=0;

end

elsebegin

cnt_scan《=cnt_scan+1;

end

end

84
22
0
85

相关资讯

  1. 1、Technologies实现了e2v新一代图像传感器的开发314
  2. 2、梅卡曼德机器人宣布完成A和A+轮融资持续将智能赋予工业机器人5095
  3. 3、我国机器人产业发展,须打破高端产业低端化的魔咒2160
  4. 4、低功耗D类音频放大器SSM2301/04的性能特点及应用1895
  5. 5、华为靠硅谷芯片公司崛起324
  6. 6、三极管和MOS管在模拟电路上的区别1129
  7. 7、南京工业大学研制出气体传感器,用来诊断出糖尿病、肺癌病人4462
  8. 8、我国首台一百万N.m转矩转速传感器成功交付使用2553
  9. 9、国产工业机器人想统治中国市场,外媒:任重而道远4698
  10. 10、呼吸机中的主要传感器包括哪些1255
全部评论(0)
我也有话说
0
收藏
点赞
顶部